HomeMade

Pełna wersja: Synteza Oleg 9
Aktualnie przeglądasz uproszczoną wersję forum. Kliknij tutaj, by zobaczyć wersję z pełnym formatowaniem.
Stron: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27
Witam

To fajnie Stanisławie że wszystko gra mam pytanie a z czym żenisz syntezę ?

Pozdrawiam

Andrzej
Z Piligrimem.
Moja synteza działa, ale na wyświetlaczy pomieszane są znaki. Czasem zamienione miejscami, a czasem zmienione na inne - generalnie da się odczytać gdzie się jest. Startuje za +-10 włączeniem zasilania. Zapewne jest to spowodowane zbyt szybkim przesyłaniem danych do wyświetlacza. Wyświetlacze sprawdziłem 3 - wszędzie zachowanie identycznie.
Zegar procka 80 MHz/4 czyli 20 MHz Soft 2.20 Połączenia na płytce raczej sprawdzone.
1 mogę sprawdzić starszą wersję oprogramowania.
2 mogę dodać jeszcze jeden 74 i zwolnić zegar procka do 10 lyb 5 MHz
3 czy wpisanie częstotliwości zegara spowoduje zmianę szybkości przesyłania danych?
4 jest coś w setupie o szybkości transmisji?
5 są jakieś tajemne sztuczki?
Czesc Jurek,

myśle ze cos pokreciłeś w układzie, moze być trudno tak za posrednictwem sieci postawić diagnozę..
ja mogę pomóc mając układ przed sobą, wtedy widzę co jest nie tak...
sprawdź czy we własciwe miejsce wlutowałeś opornik R30...
jakby co to słuze pomocą...ostatnio kolega podesłał mi tą sentezę i powód był taki ze teoretyzując trudno by było go znaleźć.
powodzenia.
...a tajemnych sztuczek nie ma...

Witek sp5taa.
Witku :-) Teoretyzując można wiele :-))) ZADZIAŁAŁO masz u mnie wielkie smakowite piwko :-)))
Sam sobie jestem winien. Rozrysowałem sobie podłączenie tego scalaka, bo ktoś kto projektował moje PCB zamienił przerzutniki, pomierzyłem na nich częstotliwości, pooglądałem sobie jak wyglądają te sygnały, ale podłączyłem źle. Do usłyszenia na titawie :-) teraz będę miał wygodę, bo ostatnią naszą łączność robiłem na serwisowym generatorze. Jeszcze raz dzięki za pomoc :-))))) Widzisz jak mi się micha cieszy !!!
Jeszcze raz dzięki za pomoc :-))))) Widzisz jak mi się micha cieszy !!!

Czesc, moja ,,micha" cieszy się równie mocno jakby to mnie spotkało...
Powodzenia .
Witek sp5taa.
Synteza bardzo ładnie pracuje z radiem DC01 SP5FRE. Enkoder optyczny HEDS-9040.
Nurtują mnie pytania:
1 - Rezystor R3 10K pomiędzy C1out i PVDD ani dla enkodera magnetycznego, ani optycznego, ani mechanicznego moim zdaniem niepotrzebny. Wywaliłem go. R6 1k w zasilaniu enkodera - trzeba dobrać w zależności od tego jaki ma się enkoder - mało o tym się pisze. U mnie zworka.
2 - po co w syntezie przeznaczonej docelowo do mieszacza cyfrowego Tayloe najpierw syntezować sinusa, czyścić go, a potem zamienić na prostokąt i sterować układ cyfrowy. Moim zdaniem bezsensu Dlaczego nie zsyntezować od razu prostokąta i bez jakiejkolwiek obróbki podać go na mieszacz?
3 - 7805 wymaga sporego radiatora - bezwzględnie !
Koledzy mam pytanie. W jaki sposób ma być podlączane (zwierane) złącze PTT wychodzące z płytki syntezy? Switch PTT jest zazwyczaj przy mikrofonie. Czy to ma być zwierane równolegle z nim przy pomocy chociażby przekaźnika? Czy chodzi o coś innego.
Kolego SP4LVC, jeżeli manipulacja PTT (przechodzenie z odbioru na nadawanie i odwrotnie) odbywa się w Twoim TRX poprzez zwieranie "punktu" manipulacji do masy poprzez naciskanie przycisku PTT na mikrofonie, to podłączasz równolegle do tego punktu również PIN XS4/XP4 syntezy. Synteza musi się skądś "dowiedzieć", że właśnie włączyłeś nadawanie, czyli przycisnąłeś przycisk PTT mikrofonu. Jeżeli kluczujesz PTT np. względem plusa zasilania układu transceivera, to potrzebny będzie jakiś przekaźnik lub odwracający, pośredniczący tranzystor, który poda masę na wspomniany PIN.

73! Robert SP3RAF
Zwieranie wejścia PTT do masy bezpieczniej jest wykonać za pośrednictwem diody zapobiegającej podaniu +12V z układu manipulacji na procesor lub tranzystorem (transoptorem) pośredniczącym jak zaproponował Robert.
Dla SQ9RFC:
1. Wejście enkodera zaprojektowano dla czujników z myszki komputerowej, stąd "rozbudowana" elektronika i programowy Schmitt. W przypadku gotowego enkodera, można pominąć.
2. Syntetyzowanie sinusa nie jest przypadkiem. Jeśli przestudiujesz zasadę działania DDS-a, zrozumiesz o co chodzi.
3. Radiator może być mniejszy jeśli po drodze zasilania wytracisz trochę mocy np. na rezystorze.
Stron: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27
Przekierowanie